Encore SIM EDITOR SOFTWARE Uživatelská příručka

Procházejte online nebo si stáhněte Uživatelská příručka pro Software Encore SIM EDITOR SOFTWARE. Encore SIM EDITOR SOFTWARE User guide [en] Uživatelská příručka

  • Stažení
  • Přidat do mých příruček
  • Tisk
  • Strana
    / 449
  • Tabulka s obsahem
  • KNIHY
  • Hodnocené. / 5. Na základě hodnocení zákazníků
Zobrazit stránku 0
VirSim User Guide
Version 4.3
About this Manual
Contents
Chapter 1 — VirSim Overview
Chapter 2 — Starting VirSim
Chapter 3 — Hierarchy Browser
Chapter 4 — Waveform Window
Chapter 5 — Source Window
Chapter 6 — Logic Browser
Chapter 7 — Register Window
Chapter 8 — Interactive Window
Chapter 9 — Project Window
Chapter 10 — Time Units
Chapter 11 — Radices
Chapter 12 — Event Origin
Chapter 13 — Building Buses
Chapter 14 — Markers
Chapter 15 — Expressions
Chapter 16 — VirSim Setup
Chapter 17 — VCD+ (vpd) File Generation
Chapter 18 — Translating VCD and VCD+
Chapter 19 — Viewing OpenVera Assertions
Appendix — Waveform Defaults
Index
Zobrazit stránku 0
1 2 3 4 5 6 ... 448 449

Shrnutí obsahu

Strany 1 - VirSim User Guide

VirSim User GuideVersion 4.3About this ManualContentsChapter 1 — VirSim OverviewChapter 2 — Starting VirSimChapter 3 — Hierarchy BrowserChapter 4 — Wa

Strany 2

xControlling Paper Size and Orientation . . . . . . . . . . . . . . . . 4-40Specifying a Printer Name . . . . . . . . . . . . . . . . . . . . . . . .

Strany 3 - User Guide

3-12Hierarchy BrowserSignal Pane Context-Sensitive MenuFigure 3-6 Signal Pane CSMExpand Variable Expands the selected variable to display its individ

Strany 4

3-13Hierarchy BrowserMenu BarNote:Any menu with a dotted line at the top can be torn off and placed on the display for easy access. Click middle above

Strany 5 - Contents

3-14Hierarchy BrowserEdit MenuDisplay MenuCopy - Copies the selection to the Clipboard.Select All (Signal Pane) - Selects all signals in the Signal Pa

Strany 6

3-15Hierarchy BrowserView MenuView Menu settings are saved when you close VirSim.Hierarchy - Toggles display of the Hierarchy tools (Design, Root/Book

Strany 7

3-16Hierarchy Browser

Strany 8

4-1Waveform Window4Waveform Window 1The Waveform Window is used to analyze signal data over time and to find causes for transitions. Waveform Window f

Strany 9

4-2Waveform WindowIntroducing the Waveform WindowRefer to Figure 4-1, Sample Waveform Window. The Waveform Window has three major panes:• The Signal N

Strany 10

4-3Waveform Window• The File Designator Pane displays a two-character identifier associated with each open history file.The window also has the follow

Strany 11

4-4Waveform WindowSignal Name PaneThe Signal Name Pane displays the names of signals and expressions that are in the currently selected group (see Usi

Strany 12

4-5Waveform WindowTo change signal radices select a signal or group of signals and right click on the signal value to view the Radix context sensitive

Strany 13

xiDebug Behavior Using Value Annotation . . . . . . . . . . . . . . . . . . . . 5-9View Signal Values for All Signals . . . . . . . . . . . . . . . .

Strany 14

4-6Waveform WindowFor information on user-defined radices, see Radix Dialog in Chapter 11, Radices.Waveform PaneThe Waveform Pane displays the wavefor

Strany 15

4-7Waveform WindowCursor PaneThe Cursor Pane displays waveform and delta values for cursor types C1, C2, and Icur (Current Time of Simulator). The Icu

Strany 16

4-8Waveform WindowStatus BarThe status bar, located at the bottom of the Waveform Window, displays the information listed in Table 4-3, Status Bar Inf

Strany 17

4-9Waveform WindowSelecting and Viewing SignalsAdding and Reordering SignalsAdding Signals to a Signal GroupYou can add signals to a signal group in o

Strany 18

4-10Waveform WindowReordering Signals in a Signal GroupTo reorder signals in the group, perform the following in the Signal Name Pane:• Drag-and-drop

Strany 19

4-11Waveform Window2. In the Signal Group Pane CSM, select Edit...The Edit Signal dialog appears.Figure 4-4 Edit Signal Dialog3. Edit the signal name

Strany 20

4-12Waveform WindowManually Creating a Signal Group 1. Click left on Edit in the menu bar and choose Groups or select the Group icon and choose New Gr

Strany 21

4-13Waveform WindowAutomatically Creating AutoGroups An AutoGroup is a group with a system generated name. If you drag-and-drop a scope or signal into

Strany 22

4-14Waveform WindowZooming WaveformsSeveral tools are available to zoom waveforms: To select an area to display by dragging the mouse over it. Click o

Strany 23 - About this Manual FIX ME!

4-15Waveform WindowNavigating and Viewing the Time DomainThe following information is covered in this section:• Measuring Time and Controlling Zoom Re

Strany 24 - Audience

xiiFeatures. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-2Getting Started . . . . . . . . . . . . . . . . .

Strany 25 - Other Sources of Information

4-16Waveform WindowTable 4-4 Cursor C-1 and C-2 Positioning Using Markers to Quickly Display a Time Range at a Later TimeMarkers function as user-def

Strany 26 - X Resources

4-17Waveform WindowFinding the Next or Previous Edge on Selected SignalsTo find the next or previous edge of a signal or group of signals:1. Select th

Strany 27 - Customer Support

4-18Waveform Window2. Enter a name for the expression in the Name field. 3. Drag the signal(s) of interest into the Expression pane (or enter the full

Strany 28 - About this Manual

4-19Waveform WindowFor detailed information on creating and using expressions, refer to Chapter 15, Expressions. Also see Locating Events Using Breakp

Strany 29 - VirSim Overview 1

4-20Waveform WindowDelta Cycle Recording for VHDL You can enable the capture of delta cycle information before simulating your design and not during r

Strany 30 - Operation Modes

4-21Waveform WindowView Delta CyclesWhen you expand a time, a delta cycle area is inserted into Waveform and Timescale panes at the time currently poi

Strany 31 - Advantages of VirSim

4-22Waveform WindowFigure 4-6 Sample Delta Cycle RegionCursor Movement in Delta Cycle RegionsClick left in the Timescale area to snap cursor C1 to th

Strany 32 - VirSim Overview

4-23Waveform WindowWhen both cursors are placed in the same delta cycle region, the Delta field (time between C1 and C2) displays the number of delta

Strany 33 - Key Terms and Concepts

4-24Waveform WindowLocating Events Using Breakpoint Groups with Enabled ExpressionsA breakpoint group defines a subset of all defined expression break

Strany 34 - OSF/Motif™ environment

4-25Waveform WindowFigure 4-7 Breakpoint Groups DialogTo create breakpoint groups:1. Type the name of the breakpoint group in the Name field and clic

Strany 35 - VirSim Windows

xiiiMenu Bar . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 6-29File Menu . . . . . . . . . . . . . . . . . . . .

Strany 36

4-26Waveform WindowFigure 4-8 Expressions DialogTo add expression breakpoints to a breakpoint group:1. Click left on Edit in the menu bar and choose

Strany 37

4-27Waveform WindowSearching with Breakpoint GroupsAfter creating a breakpoint group and defining expressions, you can use the breakpoint group to per

Strany 38

4-28Waveform Window• User defined events are defined using the $vcdplusevent command (refer to System Tasks and Functions on page 17-3). You can speci

Strany 39

4-29Waveform WindowFigure 4-9 Displaying Unique Events Changing the Display Format The following information is covered in this section:• Selecting D

Strany 40

4-30Waveform WindowSelecting Drawing Mode (EPIC and Verilog only) The drawing mode lets you display a vector in either digital or analog mode. To sele

Strany 41

4-31Waveform WindowModifying Waveform HeightTo modify the waveform height: 1. In the Waveform Window place your cursor on a waveform or select a group

Strany 42

4-32Waveform WindowDisplaying Strength Based ColorsThe Waveform window can display different colors for different strengths. You display strength colo

Strany 43

4-33Waveform WindowYou can change the default strength colors using the waveform style editor. You can, for example, use this editor to specify differ

Strany 44 - VirSim Navigation

4-34Waveform WindowFigure 4-11 Waveform Style EditorThe Waveform Style Editor has the following style menus and action buttons. File TypeThe File Typ

Strany 45

4-35Waveform WindowEncodingThe Encoding Menu is used to select signal types for the Verilog, VHDL, or EPIC file you select. Verilog signal types inclu

Strany 46 - Selecting Signals

xivGraphics Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 7-18Display Menu . . . . . . . . . . . . . . . . . . . . . . . .

Strany 47 - Drag-and-drop Operation

4-36Waveform WindowApplyApply changes waveform styles to the styles set and leaves the Waveform Style Editor open.CancelCancel closes the Waveform Sty

Strany 48

4-37Waveform WindowFigure 4-12 Update DialogThe Update Dialog has Periodic Update buttons, an Update Interval field, and three action buttons.Periodi

Strany 49 - Linking Windows

4-38Waveform WindowPrinting WaveformsTo access the Print Dialog from the Waveform Window, click left on the File Menu and choose Print. If multiple Wa

Strany 50 - Figure 1-9 Linking Window

4-39Waveform Window• Printing to Postscript• Adjusting the Signal Name PrintoutPrinting a Time RangeUse the Begin Time and End Time fields to specify

Strany 51 - Accelerator Keys

4-40Waveform WindowTo print the signal axis across several pages:Use Signal Slices to divide the signal (y) axis into up to 20 slices (pieces) and pri

Strany 52 - HB WW SW LB RW IW

4-41Waveform WindowTo rotate the output:Many PostScript output devices assume a portrait orientation for their output space. By default, VirSim prints

Strany 53

4-42Waveform WindowTo create an Encapsulated PostScript file:The Encapsulated PostScript (EPSF) toggle button is associated with the Print To File tog

Strany 54

4-43Waveform WindowToolbar and Menu ReferenceToolbarYou can toggle display of toolbar icons from the View menu. Group IconThe Group icon opens a menu

Strany 55 - Starting VirSim 1

4-44Waveform Window Zoom Cursors IconThe Zoom Cursors icon zooms the Waveform Pane to display a time range between cursor C1 and C2. To avoid roundin

Strany 56 - Starting VirSim

4-45Waveform Window New Window IconsThese icons allow you to open new VirSim windows from the Waveform Window toolbar. Breakpoint Group IconThe Break

Strany 57 - <signal>)

xvControlling Simulation from Buttons . . . . . . . . . . . . . . . . . . . . . . . . 8-16Default Buttons Files . . . . . . . . . . . . . . . . . . .

Strany 58

4-46Waveform WindowContext Sensitive MenusSignal Group Pane Context Sensitive Menu (CSM)The Waveform Pane CSM is used to display and format waveforms,

Strany 59

4-47Waveform WindowDrawing ModeBrings up a menu of drawing mode options: Digital, Analog (StairStep), and Analog (Pt to Pt). Refer to Selecting Drawin

Strany 60 - +cfgfile+default.cfg

4-48Waveform WindowCollapse All TimeCollapses all expanded delta cycle information. Refer to Expanding Time to Display Exact Order of Events Using Del

Strany 61

4-49Waveform WindowEdit ...Opens a dialog that allows you to edit the name or bit range. Menu BarThe following menus include commands specific to the

Strany 62 - VHDL Command Line Syntax

4-50Waveform WindowFile Menu Open - Opens the file browser to load an EPIC, VCD, or VCD+ history file.Reopen - Reopens all history files that have ch

Strany 63

4-51Waveform WindowEdit Menu Cut - Cut the selection and move it to the clipboard.Copy - Copy the selection to the clipboard.Paste - Paste the clipboa

Strany 64

4-52Waveform WindowZoom Menu Display Menu Zoom In - increases waveform detail. It displays approximately 50% of the previous time range.Zoom Out - inc

Strany 65

4-53Waveform WindowView MenuView Menu settings are saved when you close VirSim.Waveform Tools - Toggles display of the Waveform tools. Zoom Tools - To

Strany 67

5-1Source Window5Source Window 1Using the Source Window you can:• Navigate and view the design and transparently access all its elements in complex fi

Strany 68

xviLibrary Folder View . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-15Library View . . . . . . . . . . . . . . . . . . . . .

Strany 69

5-2Source WindowIntroducing the Source Window The Source Window has the following three panes:• Execution Pane • Source Text Pane • Control Pane In ad

Strany 70

5-3Source WindowExecution Pane The Execution pane normally displays arrows to indicate statement execution and dots to indicate line breakpoints (see

Strany 71 - Opening the Open File Dialog

5-4Source WindowAlternatively, the Display menu commands Show Execution and Show Coverage toggle the Execution pane between execution and coverage dis

Strany 72 - Open Files

5-5Source WindowThe source text is color coded to designate user identifiers, keywords and comments.Note: Colors shown above are the ones defined in

Strany 73

5-6Source WindowTime Specifies the time of the window and al windows linked to this window.Find Searches the current source for matching text. The Fin

Strany 74

5-7Source WindowBefore Using the Source WindowVerilogVerilog source information can come from either the Verilog source or from the simulator PLI for

Strany 75

5-8Source WindowNote: You can not display the cycle portion of your design in the source window. Navigate and View a DesignDrag-and-drop a scope from

Strany 76 - Opening a Single History File

5-9Source WindowEdit the Correct Design FileThe Source Window Edit menu includes two commands for opening source code in a text editor: Edit Source an

Strany 77 - Closing History Files

5-10Source WindowFigure 5-2 Source Window with Show Values Turned OnView Signal Values for One SignalTo view the current value for one signal, click

Strany 78

5-11Source WindowFollow and Control Source Execution Using Stepping and BreakpointsFollow Source ExecutionThe Source Window displays arrows to indicat

Strany 79 - Reopening History Files

xviiUsing the Options Dialog . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 9-39Options Dialog Buttons. . . . . . . . . . . . . . . . . .

Strany 80

5-12Source WindowClear Breakpoints The Edit menu contains two commands for clearing breakpoints: Clear Instance Breaks and Clear Group Breaks.• The Cl

Strany 81 - Saving a Configuration File

5-13Source WindowUsing Instance Groups Instance groups are used to filter trace execution information. Only statements executed in instances listed in

Strany 82 - Loading a Configuration File

5-14Source WindowFigure 5-3 Instance Group Edit DialogThe Instance Group Edit Dialog has the following options. Instance Group ListLists the names of

Strany 83 - Configuration File Format

5-15Source WindowTo add instances to the instance group:• with the instance group highlighted, enter a new instance in the Instance field and left cli

Strany 84 - Nested Configuration Files

5-16Source WindowDeleteDeletes the selected instance from the Instance List or the selected group from the Instance Group List.OKSaves changes to the

Strany 85 - Loading Sources

5-17Source WindowCapture Line DataThe Capture Line Data command is used to capture and display line execution data in the Interactive mode. To capture

Strany 86

5-18Source WindowLine stepping is disabled when Show Coverage is selected.Toolbar and Menu ReferenceThis section includes the following information:•

Strany 87

5-19Source Window Select InstanceThe Select Instance Group icon opens an alphabetized list of all instances in the currently selected instance group.

Strany 88

5-20Source WindowSource Text Pane Context Sensitive MenuTo open the CSM, point and click right in the Source Text pane.Figure 5-4 Source Text Pane CS

Strany 89 - Hierarchy Browser 1

5-21Source WindowDescend Instance Selects the new scope, adds it if necessary to the current instance group, and displays its source in the Source Tex

Strany 90

xviii12. Event OriginBefore Using Event Origin. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 12-2Where You Can Use Event Origin . . .

Strany 91 - Selecting a Design

5-22Source WindowMenu BarNote:Any menu with a dotted line at the top can be torn off and placed on the display for easy access. Click middle above the

Strany 92 - Choosing View Options

5-23Source WindowEdit Menu Copy - Copies the selection to the clipboard.Select All - Selects all signals in the window.Edit Source - Opens the source

Strany 93 - Hierarchy Browse

5-24Source WindowDisplay Menu Show Execution - Shows execution information for the source file in the Execution pane. Arrows show activity. Show Cover

Strany 94 - Navigating the Hierarchy

5-25Source WindowView MenuView Menu settings are saved when you close VirSim.Source Tools - Toggles display of the Source Window tools. Search Tools -

Strany 95

5-26Source Window

Strany 96

6-1Logic Browser6Logic Browser 1The Logic Browser (Verilog only) is a compact, easy-to-read schematic window designed for analysis of complex designs.

Strany 97 - Adding Signals to a Group

6-2Logic BrowserIntroducing the Logic BrowserFeaturesUsing Logic Browser you can:• Easily trace signal connectivity and view change history.• Perform

Strany 98

6-3Logic BrowserFigure 6-1 Sample Logic Browser• The selected nets are displayed in pink, all others are in green.• Nets are displayed with type, nam

Strany 99

6-4Logic BrowserGetting StartedThe following information is covered in this section:• Before Using the Logic Browser• Getting StartedBefore Using the

Strany 100 - Hierarchy Browser

6-5Logic BrowserGraphic Objects and Value TextMany graphical objects are used when displaying the loads and drivers of a signal. You may interact dire

Strany 101 - File Menu

xixDeleting a Marker . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 14-6Editing a Marker . . . . . . . . . . . . . . . .

Strany 102 - Display Menu

6-6Logic BrowserModule Instance Logic Browser displays the module instance name. (For example, SubmodWithBiDirInst) and the type of module instance (f

Strany 103 - View Menu

6-7Logic BrowserViewing and Loading Value TextValue text on the Logic Browser display shows variable data such as signal load status, data availabilit

Strany 104

6-8Logic BrowserNote: To automatically load values for all objects shown in the Logic Browser, select Autoload Values from the Display menu.Unavaila

Strany 105 - Waveform Window 1

6-9Logic BrowserNote that HR values only occur when a signal has more than one driver. A port value - means that VirSim only has the resulting net val

Strany 106 - Waveform Window

6-10Logic BrowserNavigating a DesignThis section includes the following information:• Navigating the Design in the Time Domain• Navigating Using the C

Strany 107

6-11Logic BrowserTo descend one level of the hierarchy: To navigate across the design hierarchy:Click left on the inside of a port to nav-igate to a l

Strany 108 - Signal Value Pane

6-12Logic BrowserNavigating the Design in the Time DomainUsing Next/Previous Net Change CommandsTo navigate the time domain using Next/Previous, eithe

Strany 109

6-13Logic BrowserUsing Window LinksChange the time in a window to which the Logic Browser is linked. Logic Browser updates the values for that time. N

Strany 110 - Waveform Pane

6-14Logic BrowserUsing Event OriginUsing the position of the mouse pointer as a reference, the Event Origin feature can obtain precise information abo

Strany 111 - Cursor Pane

6-15Logic Browser• Expressions ExampleFigure 6-3 Connection DialogNavigating from the Connection DialogTo navigate directly from the Connection Dialo

Strany 113 - Adding and Reordering Signals

xxWarning if Configuration Is Modified . . . . . . . . . . . . . . . . . . . . . 16-7Signals Loaded/Registered with Simulator . . . . . . . . . . . .

Strany 114

6-16Logic BrowserSelecting a Signal with ExpressionsVerilog permits complex expressions to drive port and terminal connections. A basic problem with c

Strany 115

6-17Logic BrowserExpressions ExampleThe following example illustrates the types of complex port expressions that are legal in the Verilog HDL. Conside

Strany 116

6-18Logic BrowserFigure 6-4 Connection Dialog ExampleNote the port connection expressions {a^b,2’b01,a,b} and {z,y}. Right click on the port instance

Strany 117 - User Defined Buses

6-19Logic BrowserEditing Source from Logic BrowserThe Logic Browser includes two commands for opening source code in a text editor: Edit at Definition

Strany 118 - Zooming Waveforms

6-20Logic BrowserTime Scale SettingsYou can select the display unit and display precision for time values from the Time Scale Dialog. To open the Time

Strany 119

6-21Logic Browser Toolbar and Menu ReferenceToolbarYou can toggle display of toolbar icons from the View menu. Load Value ChangesThe Load Value Change

Strany 120

6-22Logic Browser Next Selected Signals Change The Next Selected Signals Change icons search forward or backward in time for next or previous value

Strany 121 - Note:

6-23Logic BrowserContext-Sensitive Menus (CSM’s) Logic Browser context-sensitive menus provide convenient access to the most frequently used operation

Strany 122

6-24Logic BrowserPrev/Next Change Searches backward and forward in time for previous or next value changes on any object in the view for which values

Strany 123 - Delta Cycles

6-25Logic BrowserThe editor used to edit text is determined from the EDITOR environment variable. In Unix, if there is no editor environment variable

Strany 124 - Expand and Collapse Times

xxiSystem Tasks for Capturing Delta Cycle Information. . . . . . . . . 17-20System Tasks for Capturing Unique Event Information . . . . . . . 17-21Sim

Strany 125 - View Delta Cycles

6-26Logic BrowserEdit At Definition Opens an editor at the module type definition line for the module instance. Edit At Instance Opens an editor for t

Strany 126

6-27Logic BrowserRadix Opens the Radix menu. Selecting a radix sets the display value for that port instance only to the selected radix base (binary,

Strany 127 - Advanced Features

6-28Logic BrowserSelected Net CSMThe commands in the Selected Net CSM apply to the net on which your cursor is pointing and do not affect other Logic

Strany 128 - Creating Breakpoint Groups

6-29Logic BrowserMenu BarNote:Any menu with a dotted line at the top can be torn off and placed on the display for easy access. Click middle above the

Strany 129

6-30Logic BrowserEdit MenuDisplay MenuClear Displayed Routes - Clear the displayed routes. To view routes previous to the last change, drag the signal

Strany 130

6-31Logic BrowserNote:We recommend that you do not switch back and forth between single and multi-level views. The two modes share a data structure, a

Strany 131

6-32Logic Browser

Strany 132

7-1Register Window7Register Window 1The Register Window is used to create Design Views using simple graphics tools. The view can show items such as bo

Strany 133 - Changing the Display Format

7-2Register WindowThe Register Window consists of one main display area, a Time field, and a status bar. These are described below.What is a View?A vi

Strany 134 - Selecting Drawing Mode

7-3Logic BrowserWhich Signals are Loaded?By default, all signals for all defined Register Window views and Waveform Window signal groups are registere

Strany 136

7-4Register Window Edit ViewsThe View Editor Dialog is used to create, display, rename, and delete views (see Figure 7-2, View Editor Dialog). A view

Strany 137

7-5Logic BrowserName Used to enter or edit a view name. Names may only contain alphanumeric characters, underscores, and dollar signs. Names must begi

Strany 138

7-6Register WindowUsing Event OriginEvent Origin can obtain precise information about the cause of a signal value change. Point and click right on a s

Strany 139

7-7Logic BrowserAlign ObjectsYou can select and align objects (left, right, top, bottom, vertical center, or horizontal center) in relation to an anch

Strany 140 - Additional Features

7-8Register WindowDisplay File Designators for SIgnalsThe Display menu Designator command toggles file designators for signals on or off. When turned

Strany 141

7-9Logic BrowserToolbar and Menu ReferenceToolbar You can toggle display of toolbar icons from the View menu. Previous/Next Change IconsThe Previous

Strany 142 - Printing Waveforms

7-10Register Window Text Tool IconThe Text Tool icon activates a text cursor to enter text in the register display area. Click left on the Text Tool

Strany 143 - Printing a Time Range

7-11Logic Browser Breakpoint Group IconThe Breakpoint Group icon opens a pop-up list of available breakpoint groups. Breakpoint groups consist of grou

Strany 144

7-12Register WindowSignal Properties CSMThe Signal Properties CSM is used to change radix, control signal name display, control right-left justificati

Strany 145 - Printing to Postscript

7-13Logic BrowserFigure 7-5 Radix MenuEvent Origin (Verilog only) Event Origin can obtain precise information about the source of a signal value chan

Strany 146

xxiiiAbout this ManualAbout this Manual FIX ME!This manual explains the use of VirSim for running and debugging active simulations and historical reco

Strany 147 - Toolbar and Menu Reference

7-14Register WindowFormat Format is used to format the text position of a selected group of signals, (see Figure 7-7, Format Text Positioning Options)

Strany 148

7-15Logic BrowserMenu BarThe following menu bars include commands specific to the Register Window:• File Menu• Edit Menu• Graphics Menu• Display Menu•

Strany 149

7-16Register WindowFile Menu Open - Displays the file browser to open an EPIC, VCD, or VCD+ history file.Reopen - Reopens all history files that have

Strany 150 - Context Sensitive Menus

7-17Logic BrowserEdit MenuUndo - Undoes the effects of your last command. Cut - Cuts the selection and moves it to the clipboard.Copy - Copies the sel

Strany 151

7-18Register WindowGraphics Menu The Graphics menu includes the following commands for positioning objects in the Register Window:• Distribute Menu• A

Strany 152

7-19Logic BrowserDistribute Menu Using the Distribute commands, you can select and distribute objects equal distance between two points. The two point

Strany 153

7-20Register WindowView MenuView Menu settings are saved when you close VirSim.Register Tools - Toggles display of the Register Window tool icons. Sea

Strany 154

8-1Interactive Window8Interactive Window 1The Interactive Window is used to interactively control the simulator using a graphical interface. Using the

Strany 155

8-2Interactive WindowIntroducing the Interactive Window The Interactive Window has the following areas.• History Pane • Command Prompt• User-Defined B

Strany 156 - Zoom Menu

8-3Interactive WindowUser-Defined Buttons The user-defined buttons in the display area are used to send commands to the simulator. Yellow text buttons

Strany 157

xxivAbout this ManualAudienceThe VirSim User Guide provides product description, tutorial, and reference information to help you use the VirSim simula

Strany 158

8-4Interactive WindowScope ControlThe Scope Control is used to specify and display the current scope. Entering a scope and clicking OK is equivalent t

Strany 159 - Source Window 1

8-5Interactive WindowWindow StatusThis status bar gives a brief description of the object pointed at. Figure 8-1 Sample Interactive WindowStop and Up

Strany 160

8-6Interactive WindowThe Interactive Window provides menu and toolbar controls, simulation log, simulator control, and a pane containing pre-defined a

Strany 161 - Execution Pane

8-7Interactive WindowFigure 8-2 Simulator Invocation DialogThe Simulation Command Line text area is used to invoke the simulator in an interactive se

Strany 162 - Source Text Pane

8-8Interactive WindowStarting a Session Via the VirSim Command LineYou can change the default simulator and invocation arguments on the VirSim command

Strany 163 - Control Pane

8-9Interactive WindowControlling the SimulatorThis section describes several ways to start and control the simulator. The simulator runs forward until

Strany 164 - Table 5-2 Control Pane

8-10Interactive WindowSummary of Simulator Control CommandsSimulator control commands set the run time interval, run the simulator to the next change

Strany 165 - Source Window

8-11Interactive WindowSetting a line breakpoint or searching on an HDL expression are examples of setting a permanent breakpoint. The simulator contro

Strany 166 - Navigate and View a Design

8-12Interactive WindowThe name of the expression satisfied is displayed; in the above example, it is expr_name.Control Simulation from the Waveform Wi

Strany 167 - Edit the Correct Design File

8-13Interactive WindowNote:Find Next/Previous Edge also stops on any expression breakpoint that is turned on in the currently active breakpoint group.

Strany 168

xxvAbout this ManualPlatformsVirSim is available for both UNIX and Windows. For Unix, the X Resources file defines specific settings for system behavi

Strany 169 - Set Breakpoints

8-14Interactive WindowNote: When controlling the simulator from the Source Window, the simulator runs until it encounters any breakpoint. Therefore

Strany 170 - Run to Breakpoints

8-15Interactive WindowControl Simulation from the Logic Browser (Verilog only) There are three ways to control the simulator from the Logic Browser. 1

Strany 171 - Using Instance Groups

8-16Interactive WindowControlling Simulation from ButtonsThe scroll area titled User Defined Buttons consists of buttons for which you can configure b

Strany 172

8-17Interactive WindowFigure 8-3 Pre-Defined Button Layout for a Verilog SimulatorThe buttons file is searched for in the following locations (in ord

Strany 173

8-18Interactive WindowThe title displayed in the User-Defined Button area is Control Buttons. It has two columns of buttons and the title text uses th

Strany 174 - Define Markers

8-19Interactive WindowThe individual options are separated by commas, and the entire button definition is terminated with a semicolon.Selection Substi

Strany 175 - Capture Line Data

8-20Interactive WindowToolbar and Menu ReferenceToolbarYou can toggle display of toolbar icons from the View menu. Go IconThe Go icon causes the simu

Strany 176

8-21Interactive WindowMenu BarNote: Any menu with a dotted line at the top can be torn off and placed on the display for easy access. Click middle abo

Strany 177

8-22Interactive WindowSim Commands Continue - Continues to run the simulator.Stop - Stops the simulator.Finish - Finishes the simulation.Re-exec - Rer

Strany 178

8-23Interactive WindowView MenuView Menu settings are saved when you close VirSim.Interactive Tools - Toggles display of the Interactive Window tool i

Strany 179 - Go to Parent

xxviAbout this ManualVerilogTwo books are available from Open Verilog International, 15466 Los Gatos Blvd., Suite 109-071, Los Gatos, CA 95032 408-353

Strany 180

8-24Interactive Window

Strany 181

9-1Project Window9Project Window 1The Project Window is used to analyze, elaborate, and simulate VHDL or mixed VHDL and Verilog projects.Introducing t

Strany 182

9-2Project WindowIn addition to the three panes, the Project window includes the following areas:• Title bar - Displays the name of the open workspace

Strany 183

9-3Project WindowDefining Projects and WorkspacesThe Project Window displays a workspace and a number of projects. Only one workspace can be open in t

Strany 184

9-4Project WindowWhat is a Project?A project contains VHDL and Verilog source file paths, libraries that receive analyzer output, references to other

Strany 185 - Logic Browser 1

9-5Project WindowWorkspace PaneThe workspace pane displays the content of a workspace. The pane allows you to expand and collapse the Workspace folder

Strany 186 - Introducing the Logic Browser

9-6Project WindowFigure 9-2 Workspace PaneWhen a new project is created, the new project is displayed in a text edit field with a default name (see F

Strany 187

9-7Project WindowThe Workspace library folder contains Libraries known to Scirocco and libraries defined by the user. When a workspace is opened, VirS

Strany 188 - Getting Started

9-8Project WindowWorkspace Pane Context Sensitive MenuNew VHDL Library Creates new workspace or project VHDL library. Shows default library name in te

Strany 189 - Viewing Graphic Objects

9-9Project WindowDelete Deletes a project or library.Add Files... Opens Add File dialog so you can add files to a project.View PaneThe View pane displ

Strany 190 - Logic Browser

xxviiAbout this ManualSOLV-IT! Online HelpSOLV-IT! is the Synopsys electronic knowledge base. It contains information about Synopsys and its tools and

Strany 191 - Logic Browse

9-10Project WindowWorkspace Folder View Context Sensitive MenuThis menu is displayed when pressing the right mouse button.Set Active Sets a project as

Strany 192

9-11Project WindowProject Folder View Context Sensitive MenuSet Active Sets a project as the active project.Add Files... Opens Add File dialog so you

Strany 193

9-12Project WindowSource File Folder ViewDisplay source files in the right splitter pane by double clicking the source files folder. Red, green, and y

Strany 194 - Navigating a Design

9-13Project WindowSource File Folder View Context Sensitive MenuAdd Files Before... Opens Add File dialog so you can add files to a project before fil

Strany 195

9-14Project WindowFull Detail View The full detail view shows the file name, Path, Last Modified Date and Last Compiled Date.Dependency Folder ViewDep

Strany 196

9-15Project WindowDependency Folder View Context Sensitive MenuAdd Dependency Adds the selected project from the submenu to the end of dependency list

Strany 197

9-16Project WindowWhen a project is analyzed, elaborated, or simulated, the Project Window automatically creates a synopsys_sim.setup file. The file i

Strany 198 - Using the Connection Dialog

9-17Project WindowFigure 9-10 Library Folder ViewLibrary Folder View Context Sensitive MenuNew VHDL Library Creates new workspace or project VHDL lib

Strany 199

9-18Project WindowWhen a library path is renamed, a button is displayed next to the text edit field containing the path. The button can be used to ope

Strany 200

9-19Project WindowFigure 9-11 Library ViewLibrary View Context Sensitive MenuAdd Top Adds a design unit to the active project’s Design Tops folder. S

Strany 201 - Expressions Example

xxviiiAbout this Manual

Strany 202

9-20Project WindowTear Off WindowsAny of the View Pane views can be displayed in a separate window. Use the View-Tear Off command from the Project Bro

Strany 203 - Changing the Display

9-21Project WindowCommands can be transferred from the Output Pane to the Command Line Edit Field by double clicking the command in the Output Pane. I

Strany 204

9-22Project WindowOutput Pane Context Sensitive MenuEdit Source Opens a source file in the text edit window.Explain Error Opens window with text expla

Strany 205 - Toolbar and Menu Reference

9-23Project WindowMenu BarFile Menu Stop IconThe Stop icon stops the analyzer or elaborator. This icon is enabled when the analyzer or elaborator is r

Strany 206 - Signals Change

9-24Project WindowEdit MenuClose… - Displays the Close File dialog to close an open history file.Close Window - Closes the Project Window.Exit - Exits

Strany 207 - Logic Browser CSM

9-25Project WindowProject MenuSet Active Project - Sets the project selected from the submenu as the active project.Add Files… - Displays the Add Fil

Strany 208 - Edit At Definition

9-26Project WindowAdded to active project:RTL_LIB: ./work -Note WORK > RTL_LIB is ignoredALU :/tmp/ALU8ALU8 :/tmp/ALU8 VERILOG < test.vTIMEB

Strany 209 - Module Instance CSM

9-27Project WindowTools MenuAnalyze All - Unconditionally analyzes the active project and its dependent projects.Analyze Project - Analyzes the active

Strany 210 - Port Instance CSM

9-28Project WindowView MenuWindows MenuCommand Line - Shows or hides the command line edit window.Full Detail - When checked, view pane shows all deta

Strany 211 - View Connection

9-29Project WindowDialogsNew Workspace DialogUse the New Workspace dialog to create a new workspace. A new project and a new project library named WOR

Strany 212 - Selected Net CSM

1-1VirSim Overview1VirSim Overview 1VirSim is a modular debug system designed to run in an OSF/Motif™ or Windows NT environment. VirSim can simultaneo

Strany 213

9-30Project WindowSimulateShows the name of the simulator.OkCreates a new workspace and closes the dialog.CancelCloses the dialog and exits. Does not

Strany 214

9-31Project WindowOpen File DialogUse the Open File dialog to find a file to open. The dialog displays files that match the selected Filter.Figure 9-1

Strany 215

9-32Project WindowFilesDisplays list of files that match filter.FoldersLets you browse through the directory tree.OkOpens file selected in “Enter file

Strany 216

9-33Project WindowAdd Files DialogUse the Add Files Dialog to add files to a project.Figure 9-17 Add Files DialogFilterLets you choose a file filter.

Strany 217 - Register Window 1

9-34Project WindowAdd AllAdds all Verilog and VHDL files in Files list to the project.FoldersUse the Folders List to browse through the directory tree

Strany 218 - What is a View?

9-35Project WindowSelect a Directory DialogUse the Select a Directory dialog to select a directory. Figure 9-18 Select a DirectoryFilterNot Used.

Strany 219 - Which Signals are Loaded?

9-36Project WindowFoldersLets you browse through the directory tree. The selected directory is placed in the file name field.OkReturns contents of fil

Strany 220 - Edit Views

9-37Project WindowSetup ConstantsDisplays list of constants in active project.NameEdit field for defining the name of a constant.ValueEdit field for d

Strany 221 - Undo Command

9-38Project WindowNew Verilog Library DialogThe New Verilog Library dialog is use to create new Verilog libraries. Verilog libraries have the form ’na

Strany 222 - Set Time Scale

9-39Project WindowOkCreates the new library entry in the synopsys_sim.setup file and closes the dialog.AddCreates the new library entry in the synopsy

Strany 223 - Distribute Objects

Comments?E-mail your comments about Synopsys documentation to [email protected] VirSim User GuideVersion 4.3-2003.01, January 2003

Strany 224

1-2VirSim OverviewOperation ModesVirSim presents simulation history in two user-friendly operation modes: • Post Simulation Mode• Interactive ModePost

Strany 225

9-40Project WindowOptions Dialog ButtonsOkSets options on selected objects and closes the dialog.ApplySets options on selected objects.Apply DefaultsS

Strany 226 - Register Window

9-41Project WindowSetting Analyze OptionsFigure 9-21 Analyze OptionsUse the Analyze tab to set analyze options for a workspace, project, or VHDL sour

Strany 227

9-42Project WindowOptions can be modified for the workspace, projects, or VHDL source files. Separate sets of options are maintained for the workspace

Strany 228 - Signal Properties CSM

9-43Project WindowOptions are set using check buttons, the Time Units combo box, the Name edit field, the Directory edit field and the Options edit fi

Strany 229

9-44Project WindowSetting Simulate OptionsFigure 9-23 Simulate OptionsUse the Simulate tab to set runtime simulator options for a workspace, project

Strany 230 - Select All

9-45Project WindowSimulate runtime settings for a design top are determined as follows: Use the design top options if they are changed otherwise use t

Strany 231

9-46Project WindowOptions can be modified for the workspace or project. Separate sets of options are maintained for the workspace. Initially the two s

Strany 232

9-47Project WindowOptions can be modified for a workspace, project, or a design top. You can maintain separate sets of options for different workspace

Strany 233

9-48Project WindowCommandsThe Project Window commands can be executed from the GUI, the command line, or placed in a file and then executed.Definition

Strany 234 - Graphics Menu

9-49Project Windowadd constant stringAdd constant to the active project.add top topname library libname [project projname]Adds design unit ‘topname’ t

Strany 235

1-3VirSim OverviewInteractive ModeVirSim runs the simulator and displays simulation data in real-time in VirSim debug windows. You can start the simul

Strany 236

9-50Project Windowanalyze from filenameAnalyzes the active projects list of source files beginning with ‘filename’.analyze file filename [filename1 [f

Strany 237 - Interactive Window 1

9-51Project Windowdelete library {workspace | project} libnameDeletes ‘libname’ from the workspace or project.delete library verilog libnameDeletes Ve

Strany 238 - Command Prompt

9-52Project Windownew library {workspace | project} libname [librarydir]Creates a new workspace or project library ‘libname’. If directory ‘librarydir

Strany 239 - Step Control

9-53Project Windowset option_type {workspace | project projname} string set option_type file filename string set option_type top topname string libr

Strany 240 - Time Display

9-54Project WindowFiles and DirectoriesWhen the Workspace dialog is used to create a workspace, three new directories are created if they do not alrea

Strany 241 - Window Status

9-55Project WindowBy default, the interactive simulation file is placed in the project directory, unless you use the Options dialog to specify a diffe

Strany 242 - Interactive Window

9-56Project WindowUsing a MakefileTo create a makefile for a project, make sure the project is active then select Create Makefile from the Tools menu

Strany 243 - Simulation

10-1Time Units10Time Units 1This chapter describes how to set display unit and precision values for Time Units. The Time Scale Dialog allows you to co

Strany 244

10-2Time UnitsFigure 10-1 Time Scale DialogDisplay Unit Opens an option of valid time units (see Figure 10-2, Time Selection Menu). Click left on the

Strany 245 - Controlling the Simulator

10-3Time UnitsDisplay Precision (Button opens option menu) Opens an option menu of time precision values. From the popup menu, click left on the desir

Strany 246 - Breakpoint Durations

1-4VirSim Overview• A Logic Browser to clearly present design connectivity: structural and behavioral, fanins and fanouts, values and delay informatio

Strany 248

11-1Radices11Radices 1This chapter describes the use of the Radix Dialog to create, modify and delete custom radices. A custom radix is used to map ve

Strany 249

11-2Radices2. Position the mouse over the desired radix and release the mouse button. For radix value strings, each character represents one bit for b

Strany 250 - Line Breakpoints

11-3RadicesRadix Dialog (Verilog only) Figure 11-2, Sample Radix Dialog shows the major areas of the Radix Dialog. Figure 11-2 Sample Radix DialogRad

Strany 251

11-4RadicesRadix Editor (Verilog only) The Radix Editor is used to create new Radices, edit the mappings of a Radix, rename a Radix, and delete a Radi

Strany 252 - Default Buttons Files

11-5RadicesMapping List Editor(Verilog only) The Mapping List Editor is used to create, update, and delete a Radix’s mapping of values to text strings

Strany 253 - Creating User-Defined Buttons

11-6RadicesCreating a User-Defined Radix(Verilog only) Use the following procedure to create a user-defined radix.1. Click left in the Name field and

Strany 254 - Defining a Button

12-1Event Origin12Event Origin 1The VirSim Event Origin feature (Verilog only) can help you to obtain precise information about the origin of a signal

Strany 255 - Selection Substitution

12-2Event OriginBefore Using Event OriginEvent Origin requires that VirSim compile the Verilog source so it can obtain information about the design th

Strany 256

12-3Event OriginThe following is a list of objects for each window where event origin is allowed: • Waveform Window: Any waveform. • Register Wind

Strany 257 - Edit Commands

1-5VirSim OverviewKey Terms and ConceptsThe VirSim User Guide uses the following terms and concepts in feature descriptions and procedures.Click Left,

Strany 258 - Sim Commands

12-4Event OriginFigure 12-1 Event Origin Menu via the Context Sensitive MenuAutomatically Select Window This option will select an existing window or

Strany 259

12-5Event OriginView In New Window This option will always create a new window. The type of window is determined as described in the Automatically Sel

Strany 260

12-6Event OriginChoose from Multiple Drivers for an EventIf you invoke the event origin function and there is more than one driver contributing to the

Strany 261 - Project Window 1

12-7Event Origin Event Origin Classifications The event origin depends on the type of signal, which can be classified into one of three major categori

Strany 262 - Project Window

12-8Event OriginDebug with Event Origin For a register variable (reg, integer, time, or real), a value change always results from the execution of a p

Strany 263 - What is a Workspace?

12-9Event OriginUsing the +vpddrivers option can aid in debugging problems with multiple drivers since you can see the values of each driver instead o

Strany 265 - Workspace Pane

13-1Building Buses13Building Buses 1Use VirSim’s Bus Builder function to easily create and customize buses.User-created buses can contain signals as w

Strany 266

13-2Building BusesBy dragging signals into the Bus Builder Dialog, the component signals are displayed in the dialog and the appropriate default value

Strany 267

13-3Building BusesBus Builder DialogFigure 13-1, Bus Builder Dialog, shows the major areas of the Bus Builder Dialog. Figure 13-1 Bus Builder DialogN

Strany 268

1-6VirSim OverviewEnvironment OSF/Motif™ and Windows NT™You can run VirSim in either the OSF/Motif™ environment or the Microsoft Windows NT™ operati

Strany 269 - View Pane

13-4Building BusesFileSpecifies the file designator for the source history file. File designators are selected from the pull down menu next to the Fil

Strany 270 - Project Folder View

13-5Building BusesComponentsLists the components of the currently selected bus. You can drag signals into the components list from the Waveform Window

Strany 271 - Design Top Folder View

13-6Building BusesDeleteDeletes an existing bus. Use the delete key to delete components of a bus.HelpOpens on-line help for the Bus Builder Dialog.Us

Strany 272 - Source File Folder View

13-7Building BusesHierarchy BrowserWhen you create a bus it appears in the Hierarchy Browser Signal Select pane when its associated scope is selected.

Strany 273

13-8Building BusesWaveform WindowDouble click on a bus name in the signal name pane to expand it and show its individual components. Double click agai

Strany 274 - Dependency Folder View

14-1Markers14Markers 1Markers function as user-defined aliases for specific simulation times. They are used to bookmark specific points in simulation

Strany 275 - Library Folder View

14-2MarkersFigure 14-1 Marker in Waveform WindowMarker knownOk set

Strany 276

14-3MarkersMarkers DialogThe Markers Dialog is used to create, edit, or delete markers (see Figure 14-2, Markers Dialog). Figure 14-2 Markers DialogT

Strany 277 - New Verilog Library Other

14-4MarkersName (Text Field)Used to enter the name of a new or existing Marker. The name may only contain alpha-numeric characters and underscores and

Strany 278 - Library View

14-5MarkersHelpOpens on-line help for the Markers Dialog.Opening the Marker DialogIn the menu bar, click left on Edit and choose Markers.Creating a Ma

Strany 279 - View Full Detail

1-7VirSim OverviewVirSim WindowsVirSim is a multi-window system. This allows you to have as many of each type of debug window open as desired. Windows

Strany 280 - Output Pane

14-6MarkersEditing a MarkerTo edit a marker in the Markers Dialog: 1. Click left in the Name field and enter a marker name.2. Click left in the Time f

Strany 281

14-7Markers2. Click left on a marker name in the list. All linked windows display simulation data at the time designated by the marker.

Strany 282

14-8Markers

Strany 283

15-1Expressions15Expressions 1Expressions are used to create pseudo signals and search for events. Expressions are created with Verilog or VHDL expres

Strany 284

15-2ExpressionsExpressions DialogThe Expressions Dialog is used to create or edit an expression. Figure 15-1, Sample Expressions Dialog, shows an exam

Strany 285 - Project Menu

15-3ExpressionsThe Expressions Dialog has a Breakpoints list, a Name field, a reference File designator menu, a reference Scope field, a Trigger field

Strany 286

15-4ExpressionsFileSpecifies the file designator for the file associated with signal name. File designators are selected from the pull-down menu next

Strany 287 - Tools Menu

15-5ExpressionsVHDLalu_out(7 Downto 0)===accum(7 Downto 0)When the expression does not include the full hierarchy name, VirSim looks for all signals i

Strany 288 - Windows Menu

15-6ExpressionsDoneCloses the Expressions Dialog.AddCreates a new expression and displays it in the Breakpoints List.UpdateUpdates edit changes to an

Strany 289 - New Workspace Dialog

15-7Expressions• Signals that have the same file designator as the File option do not need the designator added as a prefix to the hierarchy name.• Si

Strany 290

1-8VirSim OverviewInteractive WindowThe Interactive Window is used to control a simulator and display real time information from the simulator (see Fi

Strany 291 - Open File Dialog

15-8Expressions4. Click left in the Trigger field and enter an edge-triggered expression. (If the Expression field is used, this field is optional.)5.

Strany 292

15-9ExpressionsDisplaying ExpressionsTo display the signal generated by an expression, drag the expression name from the Expressions Dialog to the Sig

Strany 293 - Add Files Dialog

15-10ExpressionsTrigger TypesThe trigger expression can specify the negative edge, positive edge, or both edges of a signal to trigger on. To specify

Strany 294

15-11ExpressionsSearching with ExpressionsThere are two search arrow icons in the Waveform Window toolbar that allow you to search forward or backward

Strany 295 - Select a Directory Dialog

15-12ExpressionsLevel Sensitive Searches Level sensitive expressions search for when events defined in the expression first become true. These express

Strany 296 - Edit Setup Constants Dialog

15-13ExpressionsEdge-triggered SearchesEdge-triggered expressions search for the specified edge, then check the level sensitive condition designated i

Strany 297

15-14Expressions• Searching forward from S1 for B positive edge produces Result 1.• Searching backward from S2 for B negative edge produces Result 2.•

Strany 298 - Path Name

16-1VirSim Setup16VirSim Setup 1You can individually customize VirSim by defining settings for certain VirSim features and screen colors in the approp

Strany 299 - Using the Options Dialog

16-2VirSim SetupCaution: Before You StartWhenever you change the X Resource file, always make a backup copy. For NT systems, use the Export feature of

Strany 300 - Options Dialog Buttons

16-3VirSim SetupX ResourcesX Resources enables the user to run VirSim for UNIX with Motif™. VirSim settings are defined in the VirSim specific applica

Strany 301 - Setting Analyze Options

1-9VirSim OverviewHierarchy BrowserThe Hierarchy Browser displays the design as modules that are color coded to distinguish the different types of mod

Strany 302 - Setting Elaborate Options

16-4VirSim SetupFigure 16-1 VirSim Setup in RegistryCommon SettingsThis section lists VirSim settings that you may wish to change. It is organized in

Strany 303

16-5VirSim SetupNote: In X Resources, the variable name is preceded by the prefix VirSim. For example: VirSim.lbModCellColor: Khaki4 VirSim*lbMain

Strany 304 - Setting Simulate Options

16-6VirSim SetupNumeric and Vector Separator SettingsThe vectorSeparator variable sets the grouping separator for binary, octal, decimal, or hexadecim

Strany 305

16-7VirSim SetupWarning if Configuration Is ModifiedThe warnIfConfigurationModified variable controls the display of the warning dialog: Current confi

Strany 306 - Setting Verilog Run Options

16-8VirSim SetupUser Defined Unique Event Colors (Verilog only) Table 16-1, User Define Unique Event Colors, shows settings for colors that signify th

Strany 307

16-9VirSim SetupWaveform background color This color is used as background for signals or for highlighting signals if one of these modes is enabled.wa

Strany 308 - Commands

16-10VirSim SetupSource Window SettingsTable 16-4 shows settings for the Source Window.Table 16-4 Settings for Source Window (Verilog and VHDL) Item

Strany 309

16-11VirSim SetupHierarchy Window SettingsTable 16-5, and Table 16-6, show settings for the Hierarchy Window.Table 16-5 Settings for Hierarchy Window

Strany 310

16-12VirSim SetupLogic Browser SettingsTable 16-7 shows settings for the Logic Browser. Windows NT format uses hex values to represent colors.Table 16

Strany 311

16-13VirSim SetupRegister Window SettingsTable 16-8 shows settings for the Register Window:Table 16-8 Settings for Register Window Item VariableColo

Strany 312

1-10VirSim OverviewFigure 1-2 Sample Hierarchy Browser

Strany 313

16-14VirSim SetupInteractive Window SettingsTable 16-9, Settings for Interactive Window, shows settings used to change the default behavior of the Inv

Strany 314 - Files and Directories

16-15VirSim SetupSettings for X ResourcesBy default, VirSim automatically uses the X Resource file installed with VirSim. To customize this file for i

Strany 315 - Moving Projects

16-16VirSim SetupMWMNo additional setup required.OLWMSet the following X resource:olwm.MinimalDecor: ToolTipWindow You may add ToolTips to an existing

Strany 316 - Using a Makefile

16-17VirSim SetupvisualThe visual determines if the system can use color or shades of gray, and if the colors are fixed (read-only) or changeable (rea

Strany 317 - Time Units 1

16-18VirSim SetupThis option requires that the user explicitly switch between color maps. Other resource options include the keyboard and pointer. The

Strany 318 - Display Unit

17-1VCD+ (vpd) File Generation17VCD+ (vpd) File Generation 1VCD+ files, also referred to as vpd files, are binary files containing simulation history

Strany 319 - Time Units

17-2VCD+ (vpd) File GenerationFor Verilog simulators other than VCS, the correct VCD+ interface must be linked to the simulator in order to produce VC

Strany 320

17-3VCD+ (vpd) File GenerationVCD+ has command-line options that affect performance and file sizes. These options, presented in subsequent sections, a

Strany 321 - Radices 1

17-4VCD+ (vpd) File Generation$vcdplusonThe $vcdpluson task begins recording signal value changes of the specified scope(s) or signals to the VCD+ his

Strany 322

17-5VCD+ (vpd) File GenerationExample 3: Record two levels of signal value changes: Scope (test) and one level below.$vcdpluson(2, test); $vcdplusoff

Strany 323 - Radix Dialog

1-11VirSim OverviewWaveform WindowThe Waveform Window displays waveforms for simulation output. Cursors, markers, and expressions let you designate an

Strany 324 - Radix Editor

17-6VCD+ (vpd) File GenerationNote: The $vcdpluson/off commands increment/decrement an internal counter for each signal to be recorded. If multiple

Strany 325 - Mapping List Editor

17-7VCD+ (vpd) File Generation$vcdplusautoflushoff;$vcdplusfileThe $vcdplusfile specifies a VCD+ file name. If not specified, VCD.vpd is default for V

Strany 326 - Creating a User-Defined Radix

17-8VCD+ (vpd) File GenerationSyntax for Specifying MDAsThe following syntax is required for specifying MDAs using the $vcdplusmemon, $vcdplusmemoff,

Strany 327 - Event Origin 1

17-9VCD+ (vpd) File Generationdim2Lsb This is an optional argument with the same functionality as dim1Lsb, but refers to the second dimension.dim2Rsb

Strany 328 - Before Using Event Origin

17-10VCD+ (vpd) File GenerationRunning VCSIn order for VCS 7.0 to provide MDA data using the $vcdplusmemon and $vcdplusmemoff tasks, the VCS +memcbk a

Strany 329 - How to Use Event Origin

17-11VCD+ (vpd) File GenerationFigure 17-1 Diagram of example: reg [7:0] mem01 [1:3] [4:6] [7:9][76543210][76543210] [76543210][76543210][76543210] [

Strany 330

17-12VCD+ (vpd) File Generation$vcdplusmemon( mem01 ); // Records all elements of mem01 to the VPD file.addr1L = 2;$vcdplusmemon( mem01, addr1L );/

Strany 331 - Display the Event Origin

17-13VCD+ (vpd) File Generationaddr1L = 2;addr1R = 3;$vcdplusmemon( mem01, addr1L, addr1R );// Records elements mem01[2][4][7] through mem01[3][6][9]T

Strany 332

17-14VCD+ (vpd) File Generationaddr1L = 2;addr1R = 2;addr2L = 5;$vcdplusmemon( mem01, addr1L, addr1R, addr2L );// Records elements mem01[2][5][7] thro

Strany 333

17-15VCD+ (vpd) File Generationaddr1L = 2;addr1R = 2;addr2L = 5;addr2R = 6;$vcdplusmemon( mem01, addr1L, addr1R, addr2L, addr2R );// Records elements

Strany 334 - Debug with Event Origin

ivCopyright Notice and Proprietary InformationCopyright  2003 Synopsys, Inc. All rights reserved. This software and documentation contain confidentia

Strany 335

1-12VirSim OverviewRegister WindowThe Register Window allows you to create custom views of signals with descriptive text and graphics (see Figure 1-4,

Strany 336

17-16VCD+ (vpd) File Generationaddr1L = 2;addr1R = 2;addr2L = 5;addr2R = 5;addr3L = 8;addr3R = 8;$vcdplusmemon( mem01, addr1L, addr1R, addr2L, addr2R,

Strany 337 - Building Buses 1

17-17VCD+ (vpd) File GenerationUsing the $vcdplusmemorydump TaskThe $vcdplusmemorydump task dumps a snapshot of memory locations. When the function is

Strany 338 - Building Buses

17-18VCD+ (vpd) File Generation• Source Statement System TasksHow to Capture Verilog Source Statement ExecutionFigure 17-7 shows three ways to capture

Strany 339 - Bus Builder Dialog

17-19VCD+ (vpd) File GenerationSource Statement System Tasks• $vcdplustraceon• $vcdplustraceoffNote: For VCS you also must supply the -line option

Strany 340

17-20VCD+ (vpd) File Generationwherelevel specifies the number of hierarchy scope levels to desend to stop recording line tracing (a zero value stops

Strany 341

17-21VCD+ (vpd) File GenerationNote: Delta Cycle collection can start only at the beginning of a time sample. vcdplusdeltacycleon must precede the

Strany 342

17-22VCD+ (vpd) File Generation$vcdplusglitchon The $vcdplusglitchon task turns on checking for zero delay glitches and other cases of multiple trans

Strany 343

17-23VCD+ (vpd) File Generation$vcdplusevent The $vcdplusevent task allows the user to record a unique event for a signal at the current simulation ti

Strany 344

17-24VCD+ (vpd) File GenerationSimulator Run-Time OptionsSpecific command line options are used to generate VCD+. These options are used to set the RA

Strany 345 - Markers 1

17-25VCD+ (vpd) File GenerationNote: The buffer size automatically is increased as needed to comply with the above limit. +vpdfile to set the outpu

Strany 346 - Marker knownOk set

1-13VirSim OverviewSource WindowThe Source Window displays the code for the selected source instance or groups of instances (see Figure 1-5, Sample So

Strany 347 - Markers Dialog

17-26VCD+ (vpd) File Generation+vpdignore to ignore $vcdplus calls in codeThe +vpdignore command instructs the simulator to ignore any $vcdplusxx call

Strany 348

17-27VCD+ (vpd) File GenerationSyntax: +vpdports+vpdnocompress to bypass data compressionBy default, VCD+ compresses data as it is written to the VCD

Strany 349 - Deleting a Marker

17-28VCD+ (vpd) File GenerationAdvantages of Separating Simulation from AnalysisWhen a problem is debugged, traditionally, interactive debugging has r

Strany 350 - Setting a Marker

17-29VCD+ (vpd) File GenerationFigure 17-8 Example Definition of VCD+ Signal Capture (Recording) MethodsYou can implement signal data capture (record

Strany 351

17-30VCD+ (vpd) File Generation• Create a task in source:task sigon_instreg;begin$vcdpluson(test.risc1.instreg);endendtaskThen call the task from sour

Strany 352

17-31VCD+ (vpd) File GenerationVCD+ On/Off PLI Rules• VCD+ On/Off PLI system tasks follow these basic rules.• vcdpluson and vcdplusoff tasks may be in

Strany 353 - Expressions 1

17-32VCD+ (vpd) File GenerationPerformance TipsThe following tips explain how to manage performance of the simulator and VCD+.• Normally you should sa

Strany 354 - Expressions Dialog

17-33VCD+ (vpd) File Generation• Saving statement execution for an entire design can increase simulation time by eight times or more. To limit perform

Strany 355

17-34VCD+ (vpd) File Generation

Strany 356

18-1Translating VCD and VCD+18Translating VCD and VCD+ 1This section covers two optional utilities: vcd2vpd and vpd2vcd. These utilities convert VCD o

Strany 357

1-14VirSim OverviewLogic Browser(Verilog only) The Logic Browser displays connectivity information (see Figure 1-6, Sample Logic Browser). By selectin

Strany 358 - Entering Signal Names

18-2Translating VCD and VCD+vcd2vpd Command The command performs one of the following operations: • Converts a standard VCD file to a VCD+ file (.vpd

Strany 359 - Creating Expressions

18-3Translating VCD and VCD+EVCD OptionsFiles+dut+<dt_prefix> Modifies the string identifier for the Device-Under-Test (DUT) half of the split s

Strany 360 - Updating Expressions

18-4Translating VCD and VCD+Mapping EVCD to VCD+ SignalsIn the conversion, each EVCD port maps to two new VCD+ signals: a Test Fixture (TF) signal and

Strany 361 - Displaying Expressions

18-5Translating VCD and VCD+vpd2vcd Command The vpd2vcd command converts the binary VCD+ file to the standard VCD file in ASCII format.Syntaxvpd2vcd &

Strany 362 - Trigger Types

18-6Translating VCD and VCD+OptionsFiles-h Translate hierarchy information only-m Give translation metrics during the conversion-s Allow sign extensio

Strany 363 - Searching with Expressions

18-7Translating VCD and VCD+VHDL MappingThe following VHDL constructs are mapped for translation from VPD to VCD. Additional VHDL constructs can be ps

Strany 364 - Level Sensitive Searches

18-8Translating VCD and VCD+

Strany 365 - Edge-triggered Searches

19-1Viewing OpenVera Assertions19Viewing OpenVera Assertions 1This chapter introduces OpenVera Assertions and explains how to view them in VirSim.The

Strany 366

19-2Viewing OpenVera AssertionsIntroducing OpenVera AssertionsOpenVera Assertions (OVA) provides a clear, easy way to describe sequences of events and

Strany 367 - VirSim Setup 1

19-3Viewing OpenVera Assertions• Tests Verilog, VHDL, and mixed-HDL designs using the VCS and Scirocco simulators.• Automatically tests and reports re

Strany 368 - VirSim Platforms

1-15VirSim OverviewProject WindowThe Project Window is used to analyze, elaborate, and simulate VHDL or mixed VHDL and Verilog projects.Figure 1-7 Sa

Strany 369

19-4Viewing OpenVera Assertions // Define an assertion: assert c_normal_s : check(t_normal_s, "Missed a step."); endunit/* Bind the uni

Strany 370 - Common Settings

19-5Viewing OpenVera AssertionsViewing OVA Results in VirSimYou can view OVA results in VirSIm from a VCS, Scirocco, or Mixed-HDL simulation run if a

Strany 371 - Mouse Button Setting

19-6Viewing OpenVera AssertionsAn assertion shows each evaluation attempt as a colored box: green for success, red for failure, gray for incomplete. A

Strany 372 - VirSim Setup

19-7Viewing OpenVera AssertionsFigure 19-4 VirSim Display with Expanded Assertion ResultsFigure 19-4 shows four assertions that have been expanded. T

Strany 373

19-8Viewing OpenVera AssertionsAssertion c_inc_pc_2_fetch, at marker mk_2, shows a display of overlapping attempts. The first box for the assertion sh

Strany 374 - Waveform Window Settings

A-1Waveform DefaultsAWaveform Defaults 2

Strany 375

A-2Waveform DefaultsWaveform Style: Verilog DefaultsTable A-1, Verilog Waveform Defaults, describes the waveform display characteristics of Verilog si

Strany 376 - Source Window Settings

A-3Waveform DefaultsLarge 0 Scalar Blue line at bottom eDsiColor13Pull 0 Scalar Blue line at bottom eDsiColor13Strong 0 Scalar Blue line at bottom eDs

Strany 377 - Hierarchy Window Settings

A-4Waveform DefaultsWaveform Style: VHDL DefaultsTable A-2, VHDL Defaults, describes the waveform display characteristics of VHDL signals in the Wavef

Strany 378 - Logic Browser Settings

A-5Waveform DefaultsStyle Editor settings are saved in the configuration file. You can also modify the waveform color by modifying the resource variab

Strany 379 - Register Window Settings

1-16VirSim OverviewVirSim NavigationThe following section describes features used to navigate in VirSim:• Using Mouse Buttons• Selecting Signals• Drag

Strany 380 - Interactive Window Settings

A-6Waveform DefaultsWaveform Style: EPIC DefaultsThe following tables describe rules for waveform display of EPIC values in the Waveform Window. The v

Strany 381 - Settings for X Resources

A-7Waveform DefaultsTable A-4 EPIC Vector WaveformsVectorValueSignalTypeSignalValueWaveformDisplayAll unset All ? All UNSET Solid gray boxAll high im

Strany 382 - X Color System

A-8Waveform Defaults

Strany 383 - Switching Color Maps

IN-1IndexSymbols-I 2-4-PP 2-4-RPP 2-6$vcdplusautoflushoff 17-6$vcdplusautoflushon 17-6$vcdplusdeltacycleoff 17-21$vcdplusdeltacycleon 17-20$vcd

Strany 384

IN-2CC1 Cursor 4-5, 4-16Searching with expressions 15-11C2 Cursor 4-16Clear Breakpoints Command 5-12, 5-23cnt.txp 19-3Colormap 16-17Configurat

Strany 385 - VCD+ (vpd) File Generation 1

IN-3Synopsys Technical Support Center i-xxviihexSpacing 16-6Hierarchical Resolution 6-8Hierarchynavigation 3-6Hierarchy BrowserAdding Signals to G

Strany 386 - Advantages of VCD+

IN-4Multiple nets in Logic Browser 6-30NNA 6-8NA text 6-8Navigating a designHierarchy navigation 3-6Searching for signals 3-7Selecting Signal Ran

Strany 387 - System Tasks and Functions

IN-5File Commands 7-16Graphics Menu 7-18Menu Bar commands 7-15Signal properties CSM 7-9Tool bar 7-9Two-button mouse 7-1View Editor Dialog 7-4Vi

Strany 388 - $vcdpluson;

IN-6Interactive mode 2-2Post-processing mode 2-5VCD+ files 2-3Stop icon 8-20supportSynopsys Technical Support Center i-xxviiTtemporal assertion f

Strany 389 - $vcdplusoff

IN-7Waveform WindowControlling simulation 8-12Debugging Event Origin 12-8Definition 1-11, 4-2Drivers in 4-47Edit Menu Commands 4-51Expanding and

Strany 390 - $vcdplusautoflushoff

1-17VirSim Overview• on a dialog to select menu options.• in the Waveform pane to set the Cursor 1 (C1) position.• in the Register Window to select an

Strany 391 - VCD+ (vpd) File Generation

1-18VirSim OverviewSelecting SignalsIn the Signal Select pane of the Hierarchy Browser and the Signal Name Pane of the Waveform Window, it is often de

Strany 392 - Syntax for Specifying MDAs

1-19VirSim OverviewDrag-and-drop OperationDrag-and-drop is used to move or copy scopes, signals, and text (see Figure 1-8, Drag-and-drop Operation). W

Strany 393

1-20VirSim OverviewFigure 1-8 Drag-and-drop OperationMoving an Array that is Too LargeIf during a drag and drop or cut and paste operation the number

Strany 394 - Examples

1-21VirSim OverviewLinking WindowsIn linked windows, operations initiated in one window can affect views in other windows. Some VirSim windows (Wavefo

Strany 395 - Note: Unlimited dimensions

vContents1. VirSim OverviewOperation Modes. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-2Post Simulation Mode . . .

Strany 396 - Ending bound:

1-22VirSim OverviewFigure 1-9 Linking WindowTo link windows:1. Click left on the Link icon to open a link menu.2. Choose the link letter of the windo

Strany 397

1-23VirSim OverviewAccelerator KeysBy using Accelerator keys, you can perform many menu bar commands quickly from the keyboard. Table 1-1, Common Acce

Strany 398

1-24VirSim OverviewMove Cursor to Beginning of LineCtrl+B X X X X X XGroup Ctrl+Shift+G XViews Ctrl+Shift+V XMarker Ctrl+Shift+M X X X XExpression Ctr

Strany 399

1-25VirSim OverviewUndo Ctrl+Z XStop Simulator Ctrl+C X X X X X XFinish Simulation Ctrl+D X X X X X XExit VirSim Ctrl+X X X X X X XInvoke Simulator Ct

Strany 401 - Execution Data

2-1Starting VirSim2Starting VirSim 1This chapter describes procedures required to start and run VirSim. There are two ways to start VirSim: entering m

Strany 402 - Execution

2-2Starting VirSimVCS VirSim GUI Startup ProceduresOpening VirSim in Interactive ModeOpen the VirSim GUI from the command line using switches to speci

Strany 403 - $vcdplustraceon

2-3Starting VirSim+cfgfile+<filename> (Optional.) Specifies using a configuration file that you recorded in a previous session with VirSim. A co

Strany 404

2-4Starting VirSimSimulating the Design Run the simulation using run-time options to modify how VCS writes history files (.vpd). See Simulator Run-Tim

Strany 405

2-5Starting VirSimStarting VirSim in Post-Processing ModeOpen the VirSim GUI from the command line using VCS compile time options to specify how VirSi

Strany 406

viDrag-and-drop Operation. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 1-19Linking Windows . . . . . . . . . . . . . . . . . . . . . . .

Strany 407

2-6Starting VirSimOptions for Starting VirSim in Post Processing Mode-RPP Run Post-Processing mode. Starts VirSim for post-process a VCD+ file. Requir

Strany 408 - Simulator Run-Time Options

2-7Starting VirSimScirocco VirSim GUI Startup ProceduresWhen starting the Scirocco VirSim GUI, the following procedures must be performed in the follo

Strany 409

2-8Starting VirSimFigure 2-1 Scirocco VirSim GUI Command LineVHDL Command Line SyntaxFor VHDL, the Scirocco VirSim command line uses the following sy

Strany 410

2-9Starting VirSimExample 2: Start an interactive session and load a .cfg configuration file.virsim +simtype+Scirocco +cfgfile+default.cfgExample 3: S

Strany 411 - VCD+ Methodology

2-10Starting VirSimNote: If the default simulator type is not correct, you must also use the +simtype command to select the simulator type.+simtype+

Strany 412

2-11Starting VirSimStandalone VirSim GUI Startup ProceduresWhen starting the standalone VirSim GUI, the following procedures must be performed in the

Strany 413

2-12Starting VirSimFigure 2-2 Command LineStandalone VirSim Command Line SyntaxThe command line uses the following syntaxvirsim [<Verilog Source I

Strany 414 - C1> sigon_instreg;

2-13Starting VirSimExample 1: Load a .vpd simulation file from time 700 to time 1000 without source but with a .cfg configuration file in post simulat

Strany 415 - VCD+ On/Off PLI Rules

2-14Starting VirSim+vcdfile+<filename>The +vcdfile+<filename> command automatically translates the VCS file to vpd format, then loads it i

Strany 416 - Performance Tips

2-15Starting VirSim+simtype+<simulator>The +simtype+<simulator> command is used to select the simulator type. When +simtype is entered at

Strany 417

viiReopening History Files. . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 2-25Saving and Loading a Configuration File. . . . . . . . . .

Strany 418

2-16Starting VirSim+vslogfile+<filename> Enables logging of VirSim commands to the specified file. If you do not specify a filename, the log is

Strany 419 - Translating VCD and VCD+ 1

2-17Starting VirSimFile Designator: A two-character identifier that differentiates between open files in VirSim. The file designator allows you to dif

Strany 420 - <vpd_file>

2-18Starting VirSimFigure 2-3 Open File DialogOpen FilesDisplays a list of files that are currently open (includes the two-character file designator)

Strany 421 - EVCD Options

2-19Starting VirSimStartTime/End TimeAllows loading a time range from within a file. The File Range fields list the file’s time range. The Load Range

Strany 422 - Translating VCD and VCD+

2-20Starting VirSimIf the Source Window or Logic Browser requires sources, the Load Sources Dialog will open automatically to request sources. You mus

Strany 423 - VCD file in ASCII format

2-21Starting VirSimFigure 2-4 Open File Dialog, Filter ExampleDirectoriesInitially, shows the current directory (highlighted) and its associated file

Strany 424 - VHDL Mapping

2-22Starting VirSimOk Opens the file selected in the Files list; this Open File Dialog closes after you click left on Ok.ApplyOpens the file selected

Strany 425

2-23Starting VirSimOpening Multiple History FilesTo open multiple history files:• Click left on Apply after selecting each file. A unique file designa

Strany 426

2-24Starting VirSimFigure 2-5 Close File DialogClose FileDisplays a list of files that are currently open (including the two-character file designato

Strany 427 - Viewing OpenVera Assertions 1

2-25Starting VirSimApply Closes the file selected in the Close File list; the Close File Dialog remains displayed after you click Apply.Cancel Closes

Strany 428 - Viewing OpenVera Assertions

viiiFile Menu . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . . 3-13Edit Menu . . . . . . . . . . . . . . . . . . . . . .

Strany 429 - How Sequences Are Tested

2-26Starting VirSimSaving and Loading a Configuration FileThe following section provides guidelines for saving and loading a configuration file. Confi

Strany 430

2-27Starting VirSimSaving a Configuration FileTo save a configuration file from a VirSim window: 1. In the window menu bar, click left on File.2. Choo

Strany 431 - Viewing OVA Results in VirSim

2-28Starting VirSimLoading a Configuration File1. Click left on File in the menu bar and choose Load Configuration to open the Load File Dialog (see F

Strany 432

2-29Starting VirSimConfiguration File FormatThe configuration file can be created or edited with an ASCII text editor independent of VirSim. However,

Strany 433

2-30Starting VirSimNested Configuration FilesTo nest second level configuration files inside a top level configuration file, use an include command as

Strany 434

2-31Starting VirSimNote: Make sure that the configuration files are self contained. For example, if you define signal groups in the incremental con

Strany 435 - Waveform Defaults 2

2-32Starting VirSimFigure 2-8 Load Sources DialogTo use the Load Sources Dialog:1. Choose Load Sources from the File menu to open the dialog. 2. Type

Strany 436 - Waveform Defaults

2-33Starting VirSimCompiled sources are listed in the Select icon list with a Z1 designator. To view stand-alone sources, click the Select Design icon

Strany 437

2-34Starting VirSim

Strany 438 - Waveform Style: VHDL Defaults

3-1Hierarchy Browser3Hierarchy Browser 1The Hierarchy Browser is used to locate and select scopes, signals, and ports (as well as variables, generics

Strany 439 - Table A-2 VHDL Defaults

ixFinding the Next or Previous Edge on Selected Signals . . . . . . 4-17Searching a Signal (Vector or Scalar) for a Specified Value . . . 4-17Expandin

Strany 440 - Waveform Style: EPIC Defaults

3-2Hierarchy BrowserIntroducing the Hierarchy BrowserFigure 3-1 Sample Hierarchy BrowserThe Search Tab is used to locate specific scopes or signals Y

Strany 441

3-3Hierarchy BrowserSelecting a DesignTo Do ThisOpen a history file from the command line. Specify the VCD file you want to use on the command line w

Strany 442

3-4Hierarchy BrowserChoosing View OptionsYou can view the hierarchy in either Outline or Block Views. For both views, you can choose to view in One-Le

Strany 443

3-5Hierarchy BrowserFigure 3-2 Outline View in One-Level and Multi-Level ModesFigure 3-3 Block View in One-Level and Multi-Level ModesOutline View/O

Strany 444

3-6Hierarchy BrowserNavigating the HierarchyTo Do ThisView all top-level scopes in the design at once.Click left on the Root/Bookmark icon and choose

Strany 445

3-7Hierarchy BrowserSearching for Scopes and SignalsThe Search Tab is used to find all scopes or signals that match a search pattern and exist in a se

Strany 446

3-8Hierarchy BrowserFiltering Signals Displayed on the Signals TabThe Signals Tab filter controls can be used to find all signals that match a search

Strany 447

3-9Hierarchy BrowserAdding Signals to a GroupThe Add button adds selected signals to an existing signal group. The Signal Group is selected via the Si

Strany 448

3-10Hierarchy BrowserToolbar and Menu ReferenceToolbarYou can toggle display of toolbar icons from the View menu. Select Design IconThe Design icon is

Strany 449

3-11Hierarchy BrowserContext Sensitive MenusHierarchy Pane Context Sensitive MenuFigure 3-5 Hierarchy Pane CSMExpand Tree Expands the hierarchy tree.

Komentáře k této Příručce

Žádné komentáře